OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] - Rev 320

Rev

Go to most recent revision | Changes | View Log | RSS feed

Last modification

  • Rev 320 2023-06-06 22:06:10 GMT
  • Author: jshamlet
  • Log message:
    Inverted flow control signals to match EIA-232 specification
Path Last modification Log RSS feed
[FOLDER] open8_urisc/ 320  445d 15h jshamlet View Log RSS feed
[NODE][FOLDER] branches/ 4  5647d 10h root View Log RSS feed
[NODE][FOLDER] tags/ 4  5647d 10h root View Log RSS feed
[NODE][FOLDER] trunk/ 320  445d 15h jshamlet View Log RSS feed
[NODE][NODE][FOLDER] Documents/ 312  464d 20h jshamlet View Log RSS feed
[NODE][NODE][FOLDER] gnu/ 179  1642d 14h jshamlet View Log RSS feed
[NODE][NODE][FOLDER] Open8 Tools/ 291  1209d 10h jshamlet View Log RSS feed
[NODE][NODE][FOLDER] taskmgr/ 309  546d 06h jshamlet View Log RSS feed
[NODE][NODE][FOLDER] VHDL/ 320  445d 15h jshamlet View Log RSS feed
[NODE][NODE][FILE] Sample Projects.zip 240  1571d 17h jshamlet View Log RSS feed
[NODE][FOLDER] web_uploads/ 6  5646d 22h root View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.