OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [doc/] [Terasic/] [DE0_NANO_SOC/] [Demonstrations/] [FPGA/] [DE0_NANO_SOC_ADC/] [DE0_NANO_SOC_QSYS/] [synthesis/] [submodules/] - Rev 221

Rev

Go to most recent revision | Changes | View Log | RSS feed

Last modification

  • Rev 221 2016-08-17 21:48:38 GMT
  • Author: olivier.girard
  • Log message:
    Move old Altera-DE1 project to the OBSOLETE directory.
    Create new Altera-DE0-Nano-SoC project, also containing a small demo of the openGFX430 graphic controller.
Path Last modification Log RSS feed
[FOLDER] openmsp430/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][FOLDER] branches/ 1  5454d 18h root View Log RSS feed
[NODE][FOLDER] tags/ 1  5454d 18h root View Log RSS feed
[NODE][FOLDER] trunk/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][FOLDER] core/ 211  3124d 02h olivier.girard View Log RSS feed
[NODE][NODE][FOLDER] doc/ 219  2865d 16h olivier.girard View Log RSS feed
[NODE][NODE][FOLDER] fpga/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][FOLDER] actel_m1a3pl_dev_kit/ 212  3124d 02h olivier.girard View Log RSS feed
[NODE][NODE][NODE][FOLDER] altera_de0_nano_soc/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][FOLDER] bench/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][FOLDER] doc/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][FOLDER] Terasic/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][FOLDER] DE0_NANO_SOC/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][FOLDER] Datasheet/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][FOLDER] Demonstrations/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FOLDER] FPGA/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FOLDER] DE0_NANO_SOC_ADC/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FOLDER] .qsys_edit/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FOLDER] DE0_NANO_SOC_QSYS/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FOLDER] synthesis/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FOLDER] submodules/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] adc_data_fifo.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] adc_ltc2308.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] adc_ltc2308_fifo.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] altera_avalon_sc_fifo.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] altera_avalon_st_pipeline_base.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] altera_merlin_arbitrator.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] altera_merlin_burst_uncompressor.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] altera_merlin_master_agent.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] altera_merlin_master_translator.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] altera_merlin_reorder_memory.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] altera_merlin_slave_agent.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] altera_merlin_slave_translator.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] altera_merlin_traffic_limiter.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] altera_reset_controller.sdc 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] altera_reset_controller.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] altera_reset_synchronizer.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_irq_mapper.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_jtag_uart.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_mm_interconnect_0.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_mm_interconnect_0_router.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001.sv 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_nios2_qsys.ocp 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_nios2_qsys.sdc 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_nios2_qsys.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_nios2_qsys_bht_ram.mif 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_nios2_qsys_dc_tag_ram.mif 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_nios2_qsys_ic_tag_ram.mif 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_sysclk.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_tck.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_wrapper.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_nios2_qsys_mult_cell.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_nios2_qsys_ociram_default_contents.mif 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_nios2_qsys_oci_test_bench.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_nios2_qsys_rf_ram_a.mif 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_nios2_qsys_rf_ram_b.mif 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_nios2_qsys_test_bench.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_onchip_memory2.hex 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_onchip_memory2.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_pll_sys.qip 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_pll_sys.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_sw.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FILE] DE0_NANO_SOC_QSYS_sysid_qsys.v 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FOLDER] demo_batch/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FOLDER] ip/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FOLDER] software/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FOLDER] DE0_NANO_SOC_Default/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][NODE][FOLDER] my_first_fpga/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][FOLDER] Manual/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][NODE][FOLDER] Schematic/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][NODE][NODE][FOLDER] LT24/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][FOLDER] rtl/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][FOLDER] sim/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][FOLDER] software/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][NODE][FOLDER] synthesis/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][FOLDER] OBSOLETE/ 221  2849d 16h olivier.girard View Log RSS feed
[NODE][NODE][NODE][FOLDER] xilinx_avnet_lx9microbard/ 212  3124d 02h olivier.girard View Log RSS feed
[NODE][NODE][NODE][FOLDER] xilinx_diligent_s3board/ 212  3124d 02h olivier.girard View Log RSS feed
[NODE][NODE][FOLDER] tools/ 217  2865d 16h olivier.girard View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.