OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp_sgdma/] - Rev 217

Rev

Go to most recent revision | Changes | View Log | RSS feed

Last modification

  • Rev 217 2012-08-12 23:26:14 GMT
  • Author: jguarin2002
  • Log message:
    Raytrac : NS_JULI_DSF_ASM_DMA_120812_18081 : \n+ NIOS 2 Standard\n+ JTAG UART | UART | LCD | I2C TOUCH SCREEN\n+ DDR SDRAM | SSRAM | FLASH \n+ Avalon Memory Mapped Master Interface | Avalon Memory Mapped Slave Interface \n+ Direct Memory Access Support \n+ 18081 logic elements out of 24624 (73%) used
Path Last modification Log RSS feed
[FOLDER] raytrac/ 217  4344d 01h jguarin2002 View Log RSS feed
[NODE][FOLDER] branches/ 217  4344d 01h jguarin2002 View Log RSS feed
[NODE][NODE][FOLDER] fp/ 210  4356d 06h jguarin2002 View Log RSS feed
[NODE][NODE][FOLDER] fp_sgdma/ 217  4344d 01h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] arithblock.vhd 206  4356d 06h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] arithpack.vhd 213  4344d 16h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] dpc.vhd 212  4344d 17h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] fadd32.vhd 196  4386d 00h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] fmul32.vhd 196  4386d 00h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] invr32.vhd 196  4386d 00h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] meminvr.mif 196  4386d 00h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] memsqrt.mif 196  4386d 00h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] raytrac.vhd 217  4344d 01h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] raytrac_hw.tcl 196  4386d 00h jguarin2002 View Log RSS feed
[NODE][NODE][NODE][FILE] sqrt32.vhd 209  4356d 06h jguarin2002 View Log RSS feed
[NODE][FOLDER] tags/ 1  4926d 18h root View Log RSS feed
[NODE][FOLDER] trunk/ 118  4718d 01h jguarin2002 View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.