OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] - Rev 17

Rev

Go to most recent revision | Changes | View Log | RSS feed

Last modification

  • Rev 17 2012-05-11 07:39:27 GMT
  • Author: earlz
  • Log message:
    Added fetch component for fetching from memory to instruction register
    Added additional testing for carryover to make sure it's correct
Path Last modification Log RSS feed
[FOLDER] tinycpu/ 17  4395d 14h earlz View Log RSS feed
[NODE][FOLDER] branches/ 1  4407d 19h root View Log RSS feed
[NODE][FOLDER] tags/ 1  4407d 19h root View Log RSS feed
[NODE][FOLDER] trunk/ 17  4395d 14h earlz View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.