OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Subversion Repositories core1990_interlaken

[/] [core1990_interlaken/] [trunk/] [gateware/] - Rev 11

Rev

Changes | View Log | RSS feed

Last modification

  • Rev 11 2020-01-23 12:02:39 GMT
  • Author: N.Boukadida
  • Log message:
    Added improvements and report by L. Verwoert.
    Now tested/verified on VC707 and VC709 boards.
Path Last modification Log RSS feed
[FOLDER] core1990_interlaken/ 11  1564d 11h N.Boukadida View Log RSS feed
[NODE][FOLDER] branches/ 1  2239d 09h root View Log RSS feed
[NODE][FOLDER] tags/ 1  2239d 09h root View Log RSS feed
[NODE][FOLDER] trunk/ 11  1564d 11h N.Boukadida View Log RSS feed
[NODE][NODE][FOLDER] documentation/ 11  1564d 11h N.Boukadida View Log RSS feed
[NODE][NODE][FOLDER] gateware/ 11  1564d 11h N.Boukadida View Log RSS feed
[NODE][NODE][NODE][FOLDER] constraints/ 11  1564d 11h N.Boukadida View Log RSS feed
[NODE][NODE][NODE][FOLDER] output/ 2  2239d 07h aborga View Log RSS feed
[NODE][NODE][NODE][FOLDER] scripts/ 11  1564d 11h N.Boukadida View Log RSS feed
[NODE][NODE][NODE][FOLDER] simulation/ 9  2127d 11h N.Boukadida View Log RSS feed
[NODE][NODE][NODE][FOLDER] sources/ 11  1564d 11h N.Boukadida View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.