OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] - Rev 92

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
92 Partitioned simulation model. sybreon 6082d 01h /
91 Made idle thread PC track main PC. sybreon 6083d 07h /
90 Fixed Carry bit bug. sybreon 6083d 07h /
89 Changed simulation kernel. sybreon 6083d 07h /
88 Minor optimisations. sybreon 6083d 23h /
87 Made T0 loop. sybreon 6084d 16h /
86 Some optimisations. sybreon 6084d 16h /
85 Replaced OF/ID blocks with combined block. sybreon 6084d 16h /
84 Added interrupt support. sybreon 6084d 16h /
83 Combined ID/OF blocks. sybreon 6084d 16h /
82 Further optimisations (speed + size). sybreon 6086d 22h /
81 Code cleanup + minor speed regression. sybreon 6086d 23h /
80 Minor optimisations (~10% faster) sybreon 6088d 00h /
79 Modified for AEMB2 sybreon 6089d 19h /
78 initial import sybreon 6089d 19h /
77 This commit was manufactured by cvs2svn to create branch 'AEMB2_712'. 6093d 00h /
76 initial sybreon 6093d 00h /
75 This commit was manufactured by cvs2svn to create tag 'AEMB_711'. 6100d 02h /
74 Minor code cleanup. sybreon 6100d 02h /
73 Moved simulation kernel into code. sybreon 6100d 02h /
72 Minor code cleanup. sybreon 6100d 03h /
71 Old version deprecated. sybreon 6107d 05h /
70 Change interrupt to positive level triggered interrupts. sybreon 6108d 04h /
69 Removed unnecessary byte acrobatics with VMEM data. sybreon 6110d 01h /
68 Generate VMEM instead of HEX dumps of programme. sybreon 6110d 01h /
67 Minor simulation fixes. sybreon 6112d 00h /
66 Added fsl_tag_o to FSL bus (tag either address or data). sybreon 6113d 22h /
65 Fixed minor typo causing synthesis failure. sybreon 6115d 10h /
64 Fixed minor interrupt test typo. sybreon 6115d 20h /
63 Fixed interrupt signal synchronisation. sybreon 6115d 20h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.