OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] - Rev 43

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
43 added logic for parity generation and check unneback 4855d 08h /
42 updated mux_andor unneback 4859d 08h /
41 typo in registers.v unneback 4859d 09h /
40 new build environment with custom.v added as a result file unneback 4859d 09h /
39 added simple port prio based wb arbiter unneback 4860d 06h /
38 updated andor mux unneback 4860d 06h /
37 corrected polynom with length 20 unneback 4866d 03h /
36 added generic andor_mux unneback 4867d 11h /
35 added vl_mux2_andor and vl_mux3_andor localparam unneback 4867d 22h /
34 added vl_mux2_andor and vl_mux3_andor unneback 4867d 23h /
33 updated wb3wb3_bridge unneback 4881d 01h /
32 added vl_pll for ALTERA (cycloneIII) unneback 4888d 10h /
31 sync FIFO updated unneback 4908d 06h /
30 updated counter for level1 and level2 function unneback 4908d 06h /
29 updated counter for level1 and level2 function unneback 4908d 06h /
28 added sync simplex FIFO unneback 4909d 08h /
27 added sync simplex FIFO unneback 4909d 08h /
26 typo in sync FIFO, added cnt_lfsr_ce_q cnt_lfsr_ce_clear_q unneback 4909d 09h /
25 added sync FIFO unneback 4909d 22h /
24 added vl_dff_ce_set unneback 4911d 06h /
23 fixed port map error in async fifo 1r1w unneback 4911d 21h /
22 added binary counters unneback 4912d 02h /
21 reg -> wire in and or mux in logic unneback 4912d 22h /
20 naming convention vl_ unneback 4914d 09h /
19 naming convention vl_ unneback 4914d 09h /
18 naming convention vl_ unneback 4914d 09h /
17 unneback 4977d 23h /
16 converting utility for ROM unneback 4978d 10h /
15 added delay line unneback 4984d 06h /
14 reg -> wire for various signals unneback 4984d 11h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.