OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [.] - Rev 268

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
268 io expander not suitable for dump compare arniml 6573d 06h /.
267 handle testcells for t8243 io expander arniml 6573d 06h /.
266 cell contains io expander tests arniml 6573d 06h /.
265 tagging changed for io expander simulation arniml 6573d 06h /.
264 initial check-in arniml 6573d 07h /.
263 update with new testbenches and ROM concept arniml 6574d 03h /.
262 name keyword added arniml 6576d 04h /.
261 * name tag added
* restriction concerning expander port removed
arniml 6576d 04h /.
260 name tag added arniml 6576d 04h /.
259 added t8243 core plus related testbenches arniml 6576d 04h /.
258 This commit was manufactured by cvs2svn to create tag 'rel_0_6_beta'. 6576d 04h /.
257 This commit was manufactured by cvs2svn to create tag 'rel_0_6__beta'. 6576d 04h /.
256 This commit was manufactured by cvs2svn to create tag 'rel_0_6_1_beta'. 6576d 04h /.
255 This commit was manufactured by cvs2svn to create tag 'rel_0_5_beta'. 6576d 04h /.
254 This commit was manufactured by cvs2svn to create tag 'rel_0_4_beta'. 6576d 04h /.
253 This commit was manufactured by cvs2svn to create tag 'rel_0_3_beta'. 6576d 04h /.
252 This commit was manufactured by cvs2svn to create tag 'rel_0_2_beta'. 6576d 04h /.
251 This commit was manufactured by cvs2svn to create tag 'rel_0_1_beta'. 6576d 04h /.
250 add bug report
"Deassertion of PROG too early"
arniml 6576d 04h /.
249 Fix bug report
"Deassertion of PROG too early"
PROG is deasserted at end of XTAL3 now
arniml 6576d 04h /.
248 initial check-in arniml 6576d 04h /.
247 initial check-in arniml 6576d 06h /.
246 initial check-in arniml 6578d 06h /.
245 initial check-in arniml 6578d 06h /.
244 note about Simili arniml 6584d 05h /.
243 update to version 0.4 arniml 6584d 05h /.
242 initial check-in arniml 6584d 05h /.
241 include t8048 and t8039 testbenches arniml 6596d 04h /.
240 comment added about lower 1k of external ROM arniml 6596d 04h /.
239 adapt t48 external ROM offset arniml 6596d 05h /.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.