OpenCores
URL https://opencores.org/ocsvn/gamepads/gamepads/trunk

Subversion Repositories gamepads

[/] [gamepads/] [trunk/] [gcpad] - Rev 38

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
38 New directory structure. root 5703d 07h /gamepads/trunk/gcpad
34 initial check-in arniml 7296d 09h /trunk/gcpad
33 initial check-in arniml 7296d 09h /trunk/gcpad
32 Corrections, added connector pinout arniml 7310d 09h /trunk/gcpad
31 initial check-in version 1.0 arniml 7312d 06h /trunk/gcpad
30 gcpad_full and second pad model now tested completely arniml 7314d 08h /trunk/gcpad
29 fix typo arniml 7314d 09h /trunk/gcpad
28 enhance model arniml 7314d 09h /trunk/gcpad
27 new units added arniml 7314d 11h /trunk/gcpad
26 added second pad
introduced testbench model for GC controller
arniml 7314d 11h /trunk/gcpad
25 initial check-in arniml 7314d 11h /trunk/gcpad
24 initial check-in arniml 7314d 19h /trunk/gcpad
23 comments for generic parameters arniml 7315d 06h /trunk/gcpad
22 add sampler unit arniml 7315d 12h /trunk/gcpad
21 delay assertion of request signal by real time (instead of delta cycles) arniml 7315d 12h /trunk/gcpad
20 provide indications for timeout and proper data reception arniml 7315d 12h /trunk/gcpad
19 added flags to detect and signal timeout and txrx action finished arniml 7315d 12h /trunk/gcpad
18 enhance handshaking between gcpad_rx and gcpad_ctrl arniml 7315d 12h /trunk/gcpad
17 shift rx_data to button assignment to toplevel arniml 7316d 04h /trunk/gcpad
16 update interface comments arniml 7316d 04h /trunk/gcpad
15 comments arniml 7316d 07h /trunk/gcpad
14 initial check-in arniml 7316d 07h /trunk/gcpad
13 move sampler to separate unit arniml 7316d 07h /trunk/gcpad
12 turn rx and tx size into bytes instead of bits arniml 7316d 08h /trunk/gcpad
11 initial check-in arniml 7317d 07h /trunk/gcpad

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.