OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] - Rev 55

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
55 mikel262 5338d 11h /mkjpeg
54 mikel262 5338d 11h /mkjpeg
53 mikel262 5338d 11h /mkjpeg
52 Redesigned BUF_FIFO.vhd to significantly reduce area utilization.
Only memory optimized version now possible.
mikel262 5338d 11h /mkjpeg
51 fix in buf fifo (synthesis problem) mikel262 5342d 09h /mkjpeg
50 mikel262 5342d 19h /mkjpeg
49 Added 16 bit RGB 565 input format. mikel262 5342d 19h /mkjpeg
48 mikel262 5342d 20h /mkjpeg
47 mikel262 5342d 20h /mkjpeg
46 x1 mikel262 5351d 21h /mkjpeg
45 stall re-added mikel262 5351d 21h /mkjpeg
44 stall re-added mikel262 5351d 21h /mkjpeg
43 stall readded mikel262 5351d 21h /mkjpeg
42 Added backpressure/stallability of output interface. mikel262 5355d 09h /mkjpeg
41 Fixed RLE ZRL bug occurring at end of 8x8 block mikel262 5492d 21h /mkjpeg
40 Fixed few bugs in BUF_FIFO and RLE encoder. mikel262 5535d 11h /mkjpeg
39 bug fix. mikel262 5538d 10h /mkjpeg
38 Reduced processing latency of Huffman encoding mikel262 5563d 10h /mkjpeg
37 Replaced VHDL Header RAM with Verilog, initialized via readmemh from hex file. mikel262 5567d 14h /mkjpeg
36 Fixed RLE ZRL bug. Added Chrominance Huffman tables. Fixed num encoded bytes bug. mikel262 5569d 10h /mkjpeg
35 Added check for image width in testbench. mikel262 5569d 22h /mkjpeg
34 Moved Quantizer to separate pipeline stage to balance processing chain load. mikel262 5570d 06h /mkjpeg
33 mikel262 5570d 15h /mkjpeg
32 mikel262 5571d 10h /mkjpeg
31 Specification update, minor area improvements in BUF_FIFO. mikel262 5574d 12h /mkjpeg
30 mikel262 5575d 00h /mkjpeg
29 mikel262 5575d 08h /mkjpeg
28 BU_FIFO SUB_RAM is now monolithic single block used by all SubFIFO - effect much less memory block usage. mikel262 5575d 08h /mkjpeg
27 mikel262 5577d 20h /mkjpeg
26 mikel262 5577d 21h /mkjpeg

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.