OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [tags/] [REL_LEAN] - Rev 59

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
59 mikel262 5328d 15h /mkjpeg/tags/REL_LEAN
58 fix for C_EXTRA_LINES=0 mikel262 5328d 15h /mkjpeg
57 default max performance mikel262 5329d 02h /mkjpeg
56 BUF_FIFO redesign, for memory/performance tradeoff mikel262 5329d 02h /mkjpeg
55 mikel262 5340d 03h /mkjpeg
54 mikel262 5340d 03h /mkjpeg
53 mikel262 5340d 03h /mkjpeg
52 Redesigned BUF_FIFO.vhd to significantly reduce area utilization.
Only memory optimized version now possible.
mikel262 5340d 03h /mkjpeg
51 fix in buf fifo (synthesis problem) mikel262 5344d 02h /mkjpeg
50 mikel262 5344d 11h /mkjpeg
49 Added 16 bit RGB 565 input format. mikel262 5344d 11h /mkjpeg
48 mikel262 5344d 12h /mkjpeg
47 mikel262 5344d 12h /mkjpeg
46 x1 mikel262 5353d 14h /mkjpeg
45 stall re-added mikel262 5353d 14h /mkjpeg
44 stall re-added mikel262 5353d 14h /mkjpeg
43 stall readded mikel262 5353d 14h /mkjpeg
42 Added backpressure/stallability of output interface. mikel262 5357d 01h /mkjpeg
41 Fixed RLE ZRL bug occurring at end of 8x8 block mikel262 5494d 13h /mkjpeg
40 Fixed few bugs in BUF_FIFO and RLE encoder. mikel262 5537d 04h /mkjpeg
39 bug fix. mikel262 5540d 02h /mkjpeg
38 Reduced processing latency of Huffman encoding mikel262 5565d 02h /mkjpeg
37 Replaced VHDL Header RAM with Verilog, initialized via readmemh from hex file. mikel262 5569d 06h /mkjpeg
36 Fixed RLE ZRL bug. Added Chrominance Huffman tables. Fixed num encoded bytes bug. mikel262 5571d 02h /mkjpeg
35 Added check for image width in testbench. mikel262 5571d 15h /mkjpeg
34 Moved Quantizer to separate pipeline stage to balance processing chain load. mikel262 5571d 23h /mkjpeg
33 mikel262 5572d 08h /mkjpeg
32 mikel262 5573d 03h /mkjpeg
31 Specification update, minor area improvements in BUF_FIFO. mikel262 5576d 04h /mkjpeg
30 mikel262 5576d 16h /mkjpeg

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.