OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] - Rev 62

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
62 WB_B3_RAM_BE updates, bte port map + define dependency unneback 4719d 20h /
61 WB_B3_RAM_BE updates, bte port map + define dependency unneback 4719d 20h /
60 added wb b3 byte enable memory, added test in makefile through icarus, typo in latch fixed unneback 4721d 15h /
59 added WB RAM B3 with byte enable unneback 4722d 16h /
58 corrected EXT unit, rewrite of FF1, FL1 unneback 4738d 22h /
57 corrected EXT unit, rewrite of FF1, FL1 unneback 4738d 22h /
56 WB B4 RAM we fix unneback 4751d 15h /
55 added WB_B4RAM with byte enable unneback 4753d 22h /
54 added WB_B4RAM with byte enable unneback 4753d 22h /
53 added WB_B4RAM with byte enable unneback 4753d 22h /
52 added WB_B4RAM with byte enable unneback 4753d 22h /
51 added WB_B4RAM with byte enable unneback 4753d 22h /
50 added WB_B4RAM with byte enable unneback 4753d 22h /
49 added WB_B4RAM with byte enable unneback 4753d 22h /
48 wb updated unneback 4760d 16h /
47 added help program for LFSR counters unneback 4855d 19h /
46 updated parity unneback 4856d 21h /
45 updated timing in io models unneback 4858d 15h /
44 added target independet IO functionns unneback 4861d 15h /
43 added logic for parity generation and check unneback 4865d 18h /
42 updated mux_andor unneback 4869d 18h /
41 typo in registers.v unneback 4869d 19h /
40 new build environment with custom.v added as a result file unneback 4869d 20h /
39 added simple port prio based wb arbiter unneback 4870d 17h /
38 updated andor mux unneback 4870d 17h /
37 corrected polynom with length 20 unneback 4876d 13h /
36 added generic andor_mux unneback 4877d 21h /
35 added vl_mux2_andor and vl_mux3_andor localparam unneback 4878d 09h /
34 added vl_mux2_andor and vl_mux3_andor unneback 4878d 09h /
33 updated wb3wb3_bridge unneback 4891d 11h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.