OpenCores
URL https://opencores.org/ocsvn/async_sdm_noc/async_sdm_noc/trunk

Subversion Repositories async_sdm_noc

[/] [async_sdm_noc/] [branches/] [init/] [sdm/] - Rev 53

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
44 the testbench for VC routers before debugging wsong0210 4821d 03h /async_sdm_noc/branches/init/sdm/
42 VC synthesis script ready wsong0210 4824d 04h /async_sdm_noc/branches/init/sdm/
38 clean up the VC router wsong0210 4824d 21h /async_sdm_noc/branches/init/sdm/
35 test bench ready wsong0210 4825d 05h /async_sdm_noc/branches/init/sdm/
34 debug wsong0210 4826d 05h /async_sdm_noc/branches/init/sdm/
33 debug wsong0210 4826d 06h /async_sdm_noc/branches/init/sdm/
32 currently debugging the simulation compiling wsong0210 4827d 03h /async_sdm_noc/branches/init/sdm/
31 test bench ongoing wsong0210 4828d 03h /async_sdm_noc/branches/init/sdm/
30 test bench cleaning up ongping wsong0210 4829d 03h /async_sdm_noc/branches/init/sdm/
27 eliminate timing loops in all router architectures wsong0210 4830d 04h /async_sdm_noc/branches/init/sdm/
26 wormhole router compile OK wsong0210 4831d 04h /async_sdm_noc/branches/init/sdm/
24 make a branch wsong0210 4831d 22h /async_sdm_noc/branches/init/sdm/
23 try commit wsong0210 4831d 22h /async_sdm_noc/trunk/sdm/
22 roll back wsong0210 4831d 22h /async_sdm_noc/trunk/sdm/
19 sdm router ready wsong0210 4832d 04h /async_sdm_noc/branches/sdm/
17 allocators wsong0210 4833d 03h /async_sdm_noc/branches/sdm/
16 input buffers wsong0210 4833d 05h /async_sdm_noc/branches/sdm/
14 output buffers wsong0210 4834d 03h /async_sdm_noc/branches/sdm/
13 router structure configuration wsong0210 4834d 03h /async_sdm_noc/branches/sdm/
9 cell library setting up script wsong0210 4836d 21h /async_sdm_noc/branches/sdm/
5 modify the file dir for multiple designs wsong0210 4836d 22h /async_sdm_noc/branches/sdm/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.