OpenCores
URL https://opencores.org/ocsvn/gamepads/gamepads/trunk

Subversion Repositories gamepads

[/] [gamepads/] [tags/] [rel_0_2_beta/] - Rev 38

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
38 New directory structure. root 5590d 09h /gamepads/tags/rel_0_2_beta/
35 This commit was manufactured by cvs2svn to create tag 'rel_0_2_beta'. 7183d 11h /tags/rel_0_2_beta/
34 initial check-in arniml 7183d 11h /trunk/
33 initial check-in arniml 7183d 11h /trunk/
32 Corrections, added connector pinout arniml 7197d 12h /trunk/
31 initial check-in version 1.0 arniml 7199d 09h /trunk/
30 gcpad_full and second pad model now tested completely arniml 7201d 11h /trunk/
29 fix typo arniml 7201d 11h /trunk/
28 enhance model arniml 7201d 11h /trunk/
27 new units added arniml 7201d 14h /trunk/
26 added second pad
introduced testbench model for GC controller
arniml 7201d 14h /trunk/
25 initial check-in arniml 7201d 14h /trunk/
24 initial check-in arniml 7201d 21h /trunk/
23 comments for generic parameters arniml 7202d 09h /trunk/
22 add sampler unit arniml 7202d 14h /trunk/
21 delay assertion of request signal by real time (instead of delta cycles) arniml 7202d 14h /trunk/
20 provide indications for timeout and proper data reception arniml 7202d 14h /trunk/
19 added flags to detect and signal timeout and txrx action finished arniml 7202d 14h /trunk/
18 enhance handshaking between gcpad_rx and gcpad_ctrl arniml 7202d 14h /trunk/
17 shift rx_data to button assignment to toplevel arniml 7203d 07h /trunk/
16 update interface comments arniml 7203d 07h /trunk/
15 comments arniml 7203d 10h /trunk/
14 initial check-in arniml 7203d 10h /trunk/
13 move sampler to separate unit arniml 7203d 10h /trunk/
12 turn rx and tx size into bytes instead of bits arniml 7203d 10h /trunk/
11 initial check-in arniml 7204d 10h /trunk/
9 initial check-in arniml 7205d 14h /trunk/
8 initial check-in arniml 7205d 14h /trunk/
7 comments for the generic parameters arniml 7206d 13h /trunk/
6 initial check-in arniml 7206d 13h /trunk/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.