OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] - Rev 52

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
52 Redesigned BUF_FIFO.vhd to significantly reduce area utilization.
Only memory optimized version now possible.
mikel262 5322d 00h /mkjpeg/
51 fix in buf fifo (synthesis problem) mikel262 5325d 22h /mkjpeg/
50 mikel262 5326d 07h /mkjpeg/
49 Added 16 bit RGB 565 input format. mikel262 5326d 08h /mkjpeg/
48 mikel262 5326d 08h /mkjpeg/
47 mikel262 5326d 08h /mkjpeg/
46 x1 mikel262 5335d 10h /mkjpeg/
45 stall re-added mikel262 5335d 10h /mkjpeg/
44 stall re-added mikel262 5335d 10h /mkjpeg/
43 stall readded mikel262 5335d 10h /mkjpeg/
42 Added backpressure/stallability of output interface. mikel262 5338d 22h /mkjpeg/
41 Fixed RLE ZRL bug occurring at end of 8x8 block mikel262 5476d 09h /mkjpeg/
40 Fixed few bugs in BUF_FIFO and RLE encoder. mikel262 5519d 00h /mkjpeg/
39 bug fix. mikel262 5521d 23h /mkjpeg/
38 Reduced processing latency of Huffman encoding mikel262 5546d 23h /mkjpeg/
37 Replaced VHDL Header RAM with Verilog, initialized via readmemh from hex file. mikel262 5551d 03h /mkjpeg/
36 Fixed RLE ZRL bug. Added Chrominance Huffman tables. Fixed num encoded bytes bug. mikel262 5552d 23h /mkjpeg/
35 Added check for image width in testbench. mikel262 5553d 11h /mkjpeg/
34 Moved Quantizer to separate pipeline stage to balance processing chain load. mikel262 5553d 19h /mkjpeg/
33 mikel262 5554d 04h /mkjpeg/
32 mikel262 5554d 23h /mkjpeg/
31 Specification update, minor area improvements in BUF_FIFO. mikel262 5558d 00h /mkjpeg/
30 mikel262 5558d 13h /mkjpeg/
29 mikel262 5558d 21h /mkjpeg/
28 BU_FIFO SUB_RAM is now monolithic single block used by all SubFIFO - effect much less memory block usage. mikel262 5558d 21h /mkjpeg/
27 mikel262 5561d 09h /mkjpeg/
26 mikel262 5561d 10h /mkjpeg/
25 moved from branch to trunk mikel262 5561d 10h /mkjpeg/
24 mikel262 5561d 10h /mkjpeg/
23 mikel262 5562d 20h /mkjpeg/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.