OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] - Rev 61

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
61 Design now uses 4:2:2 chroma subsampling mikel262 5297d 16h /mkjpeg/
60 mikel262 5300d 05h /mkjpeg/
59 mikel262 5300d 07h /mkjpeg/
58 fix for C_EXTRA_LINES=0 mikel262 5300d 07h /mkjpeg/
57 default max performance mikel262 5300d 17h /mkjpeg/
56 BUF_FIFO redesign, for memory/performance tradeoff mikel262 5300d 18h /mkjpeg/
55 mikel262 5311d 18h /mkjpeg/
54 mikel262 5311d 18h /mkjpeg/
53 mikel262 5311d 19h /mkjpeg/
52 Redesigned BUF_FIFO.vhd to significantly reduce area utilization.
Only memory optimized version now possible.
mikel262 5311d 19h /mkjpeg/
51 fix in buf fifo (synthesis problem) mikel262 5315d 17h /mkjpeg/
50 mikel262 5316d 02h /mkjpeg/
49 Added 16 bit RGB 565 input format. mikel262 5316d 02h /mkjpeg/
48 mikel262 5316d 03h /mkjpeg/
47 mikel262 5316d 03h /mkjpeg/
46 x1 mikel262 5325d 05h /mkjpeg/
45 stall re-added mikel262 5325d 05h /mkjpeg/
44 stall re-added mikel262 5325d 05h /mkjpeg/
43 stall readded mikel262 5325d 05h /mkjpeg/
42 Added backpressure/stallability of output interface. mikel262 5328d 17h /mkjpeg/
41 Fixed RLE ZRL bug occurring at end of 8x8 block mikel262 5466d 04h /mkjpeg/
40 Fixed few bugs in BUF_FIFO and RLE encoder. mikel262 5508d 19h /mkjpeg/
39 bug fix. mikel262 5511d 18h /mkjpeg/
38 Reduced processing latency of Huffman encoding mikel262 5536d 18h /mkjpeg/
37 Replaced VHDL Header RAM with Verilog, initialized via readmemh from hex file. mikel262 5540d 22h /mkjpeg/
36 Fixed RLE ZRL bug. Added Chrominance Huffman tables. Fixed num encoded bytes bug. mikel262 5542d 18h /mkjpeg/
35 Added check for image width in testbench. mikel262 5543d 06h /mkjpeg/
34 Moved Quantizer to separate pipeline stage to balance processing chain load. mikel262 5543d 14h /mkjpeg/
33 mikel262 5543d 23h /mkjpeg/
32 mikel262 5544d 18h /mkjpeg/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.