OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [rel-0-3-0-rc3/] [or1ksim/] [testbench/] - Rev 544

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
544 Added GPIO output for progress indication for FPGA simulation. ivang 8225d 05h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
541 lot of new parameters concerning memory delays added; bpb parameter moved from cpu to new bpb section; UPDATE YOUR .CFG FILES! markom 8225d 08h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
538 memory width increased to 32bit; new memory test mem_test added - simple big endian test markom 8225d 11h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
534 Changed to work with new simulator. simons 8226d 08h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
532 removed stats 6 command, handling SLP; function profiling is supported by profiler; subroutine level parallelism is not covered yet, but should be done in profiler markom 8226d 12h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
525 EEAR shows virtual address on bus error exception. simons 8227d 11h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
522 Bug fixed. simons 8228d 03h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
521 Simprintf bug fixed. simons 8228d 04h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
520 LP interrupt test removed, because it can not be tested with tick timer. simons 8228d 05h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
519 except_test.S renamed to except_test_s.S simons 8228d 06h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
517 some performance optimizations markom 8229d 07h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
516 except test files renamed markom 8229d 10h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
515 uart test updated; simprintf updated markom 8229d 10h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
513 obsolete --enable-profiler and --disable-debugmod configure options removed; parse.c now loads only external symbols markom 8229d 12h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
511 new reporting system markom 8230d 06h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
509 unused var warning corrected markom 8230d 07h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
502 Added RGPIO_INTS (according to GPIO spec) erez 8231d 10h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
501 Added .cvsignore files for annoying generated files in testbench erez 8231d 11h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
500 Added .cvsignore files for annoying generated files erez 8231d 11h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
499 Made testbench/support/int.c more usable and changed acv_gpio test to use it erez 8231d 11h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
493 --enable-opt switch added to testbench configure markom 8243d 11h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
485 gdb.h moved to debug dir; except.ld renamed to default.ld markom 8244d 13h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
484 Changed to support execution from various addresses. simons 8245d 02h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
483 Implemented some GPIO tests erez 8245d 02h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
480 RTL_SIM define added for shorter simulation runtime. simons 8245d 06h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
479 connection with gdb repaired; temp_except_delay removed; lot of except and debug code cleaned; sys 203 causes stall under gdb; non-sim memory area log bug fixed markom 8245d 06h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
478 Started adding acv_gpio testbench erez 8245d 07h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
476 Fixed warnings. ivang 8245d 07h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
475 l.jalr r9 is not used any more. simons 8245d 08h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/
473 Added test flag templates. ivang 8245d 12h /or1k/tags/rel-0-3-0-rc3/or1ksim/testbench/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.