OpenCores
URL https://opencores.org/ocsvn/spdif_interface/spdif_interface/trunk

Subversion Repositories spdif_interface

[/] [spdif_interface/] [tags/] [rx_beta_1/] - Rev 73

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
73 New directory structure. root 5578d 07h /spdif_interface/tags/rx_beta_1/
43 This commit was manufactured by cvs2svn to create tag 'rx_beta_1'. 7280d 00h /tags/rx_beta_1/
42 Fixed bug with lock event generation. gedra 7280d 00h /trunk/
41 Test bench update. gedra 7280d 00h /trunk/
40 Improved test bench. gedra 7281d 01h /trunk/
39 Bug-fix. gedra 7281d 01h /trunk/
38 Signal renaming and bug fix. gedra 7295d 01h /trunk/
37 Converted to numeric_std and fixed a few bugs. gedra 7296d 03h /trunk/
36 Top level entity for receiver. gedra 7296d 03h /trunk/
35 Top level test bench for receiver. NB! Not complete. gedra 7296d 03h /trunk/
34 Converter to numeric_std and added hex functions gedra 7296d 03h /trunk/
33 Minor update. gedra 7296d 03h /trunk/
32 Wishbone bus utilities. gedra 7297d 21h /trunk/
31 Added data output. gedra 7297d 21h /trunk/
30 Added Wishbone bus cycle decoder. gedra 7298d 23h /trunk/
29 Wishbone bus cycle decoder. gedra 7298d 23h /trunk/
28 Delint'ed and changed name of architecture. gedra 7303d 07h /trunk/
27 Alternate dual port memory implementation for Altera FPGA's. gedra 7303d 22h /trunk/
26 Fixed a few bugs. gedra 7305d 22h /trunk/
25 Changed status reg. declaration gedra 7305d 22h /trunk/
24 Added channel status decoding. gedra 7305d 22h /trunk/
23 Added frame decoder gedra 7305d 22h /trunk/
22 Renamed generic gedra 7308d 23h /trunk/
21 Renamed generic's and modified recevier configuration register gedra 7308d 23h /trunk/
20 Renamed generic and cleaned some lint's gedra 7308d 23h /trunk/
19 Added frame decoder and sample extractor gedra 7308d 23h /trunk/
18 Frame decoder and sample extractor gedra 7308d 23h /trunk/
17 Cleaned up lint warnings. gedra 7311d 22h /trunk/
16 Added dual port ram. gedra 7312d 21h /trunk/
15 Generic dual port ram model. gedra 7312d 21h /trunk/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.