OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_4/] - Rev 81

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
81 initial check-in arniml 7412d 20h /t48/tags/rel_1_4/
80 added if_timing arniml 7412d 20h /t48/tags/rel_1_4/
79 add if_timing module arniml 7412d 20h /t48/tags/rel_1_4/
78 adjust external timing of BUS arniml 7412d 20h /t48/tags/rel_1_4/
77 move from std_logic_arith to numeric_std arniml 7413d 13h /t48/tags/rel_1_4/
76 initial check-in arniml 7413d 17h /t48/tags/rel_1_4/
75 remove obsolete design unit arniml 7413d 17h /t48/tags/rel_1_4/
74 enhance pass/fail detection arniml 7414d 01h /t48/tags/rel_1_4/
73 removed dummy_s - workaround not longer needed for GHDL 0.11.1 arniml 7414d 01h /t48/tags/rel_1_4/
72 removed superfluous signal from sensitivity list arniml 7414d 01h /t48/tags/rel_1_4/
71 add T8039 and its testbench arniml 7419d 17h /t48/tags/rel_1_4/
70 clean test cell before make arniml 7419d 17h /t48/tags/rel_1_4/
69 fix name of istrobe arniml 7419d 17h /t48/tags/rel_1_4/
68 connect T0 and T1 to P1 arniml 7419d 17h /t48/tags/rel_1_4/
67 initial check-in arniml 7419d 17h /t48/tags/rel_1_4/
66 add temporary workaround for GHDL 0.11 arniml 7419d 17h /t48/tags/rel_1_4/
65 clean up sensitivity list arniml 7419d 17h /t48/tags/rel_1_4/
64 + enhance instruction strobe generation
+ rework address output under EA=1 conditions
arniml 7419d 17h /t48/tags/rel_1_4/
63 reset machine state to MSTATE3 to allow proper instruction fetch
after reset
arniml 7419d 18h /t48/tags/rel_1_4/
62 initial check-in arniml 7419d 18h /t48/tags/rel_1_4/
61 expand script for dump compare arniml 7421d 14h /t48/tags/rel_1_4/
60 + add marker for injected calls
+ suppress intstruction strobes for injected calls
arniml 7422d 14h /t48/tags/rel_1_4/
59 increment prescaler with MSTATE4 arniml 7422d 14h /t48/tags/rel_1_4/
58 add periodic interrupt arniml 7422d 14h /t48/tags/rel_1_4/
57 abort if no interrupt occurs arniml 7422d 14h /t48/tags/rel_1_4/
56 wait for instruction strobe after final end-of-simulation detection
this ensures that the last mov instruction is part of the dump and
enables 100% matching with i8039 simulator
arniml 7423d 15h /t48/tags/rel_1_4/
55 add dependency to tb_behav_pack for decoder arniml 7423d 16h /t48/tags/rel_1_4/
54 - add tb_istrobe_s arniml 7423d 16h /t48/tags/rel_1_4/
53 make istrobe visible through testbench package arniml 7423d 16h /t48/tags/rel_1_4/
52 + fix bug in PSW[3]
+ read SP properly for dump
arniml 7423d 16h /t48/tags/rel_1_4/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.