OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] - Rev 159

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
159 Backported Adder from AEMB2_EDK62.
Fixes 64-bit math problem reported by M. Ettus.
sybreon 5910d 11h /
158 Got rid of the Greater-Than comparator.
Other minor size optimisations.
sybreon 5920d 13h /
157 Added interrupt capability. sybreon 5920d 16h /
156 initial import sybreon 5920d 16h /
155 Minor cosmetic changes. sybreon 5920d 16h /
154 Made C compatible C++ code. sybreon 5923d 04h /
153 Corrected minor typo. sybreon 5923d 04h /
152 Changed to new headers. sybreon 5923d 04h /
151 Made files C compatible under C++. sybreon 5923d 04h /
150 Optimisations. sybreon 5923d 16h /
149 Minor performance optimisation. sybreon 5924d 00h /
148 added iwb_tag_o signal tied to MSR_ICE. sybreon 5924d 05h /
147 Disconnect from pipeline. sybreon 5924d 08h /
146 Minor code cleanup. sybreon 5924d 08h /
145 License change to GPL3. sybreon 5924d 08h /
144 Added VCD2LXT functions. sybreon 5924d 08h /
143 Fixed minor typos. sybreon 5924d 08h /
142 Added optional specs. sybreon 5924d 08h /
141 Minor cosmetic changes. sybreon 5924d 08h /
140 Fixed minor typos. sybreon 5924d 08h /
139 Made headers C compatible. sybreon 5925d 05h /
138 initial import sybreon 5925d 06h /
137 Minor cosmetic changes. sybreon 5925d 06h /
136 Made single-thread compatible. sybreon 5925d 06h /
135 Updated software to freeze T0 and run T1. sybreon 5925d 06h /
134 Minor performance improvements. sybreon 5925d 07h /
133 Cleaned up script. sybreon 5925d 15h /
132 Fixed minor typos. sybreon 5925d 23h /
131 Passes basic tests. Minor documentation changes to make it compatible with iverilog pre-processor. sybreon 5925d 23h /
130 switched printf's to iprintf's because iprintf's don't work by
-O3 for some reason.
sybreon 5926d 00h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.