OpenCores
URL https://opencores.org/ocsvn/versatile_mem_ctrl/versatile_mem_ctrl/trunk

Subversion Repositories versatile_mem_ctrl

[/] - Rev 93

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
93 unneback 5074d 06h /
92 unneback 5074d 06h /
91 unneback 5074d 06h /
90 unneback 5074d 06h /
89 unneback 5074d 06h /
88 unneback 5074d 06h /
87 unneback 5074d 06h /
86 mikaeljf 5126d 13h /
85 Added a versatile_mem_ctrl specific version of the flag generation module in the versatile fifo. mikaeljf 5127d 13h /
84 mikaeljf 5131d 12h /
83 mikaeljf 5132d 07h /
82 mikaeljf 5132d 12h /
81 mikaeljf 5133d 08h /
80 mikaeljf 5133d 09h /
79 Added defines that fix bugs with slow wishbone clocks doing burst writes julius 5170d 23h /
78 Burst writing working again, although its mostly hardcoded to burst 4. Also added a fix for when the RAM and bus clocks are about the same speed, to avoid buffer overrun julius 5173d 06h /
77 SDR 16 registering of current_fifo_empty signal in top, appropriate control alterations in fsm_sdr_16 julius 5181d 04h /
76 Changed SDR16 synthesis useioff location, fsm_wb acking logic, default SDR build is for 16m part now julius 5186d 05h /
75 mikaeljf 5186d 07h /
74 Minor update of rtl Makefile. mikaeljf 5190d 06h /
73 Minor updates to fix lost revisions 69 and 70. mikaeljf 5190d 07h /
72 Restored lost revisions 69 and 70. mikaeljf 5190d 07h /
71 Replacing versatile_mem_ctrl_top with revisino 68 version but with top level ack fix. May lose some of revision 69 and 70 changes julius 5190d 08h /
70 mikaeljf 5193d 14h /
69 mikaeljf 5194d 11h /
68 cleaqnup unneback 5195d 23h /
67 added FSM for wb if unneback 5195d 23h /
66 unneback 5196d 02h /
65 added unneback 5196d 02h /
64 Changed sdr 16 FSM to use defines instead of parameters which were somehow screwing up synplify, reinstated used of sdr_16_defines.v file julius 5197d 02h /

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.