OpenCores
URL https://opencores.org/ocsvn/fade_ether_protocol/fade_ether_protocol/trunk

Subversion Repositories fade_ether_protocol

[/] - Rev 32

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
12 Added experimental version of my protocol, working with the 10Gb/s
link on the KC705 board.
The design has been initially tested, and is working, but it still
needs some improvments.
Please note, that this version uses the "jumbo" frames,
with 8192 bytes of user data in each packet (plus header).
Therefore it was necessary to make some changes in the kernel
driver.
wzab 3567d 01h /
11 Corrected small bug in eth_sender8 (which in fact should only
generate a compiler warning, as the resulting bit vector
was anyway correctly truncated...)
wzab 3723d 23h /
10 Updated test client program - receiver2.c

Added possibility to activate only selected slave in the command line.
Currently program services up to three slaves: 0, 1 and 2
To activate a slave, add its number as a program argument in the command line
(order doesn't matter).
wzab 4023d 21h /
9 Corrected serious bug in acknowledged packed number calculations, which lead to transmission
errors in high speed CPUs.
wzab 4024d 21h /
8 Adjusted parameters of the NCA (network congestion avoidance) alogorithm
to obtain higher throughput.
wzab 4028d 22h /
7 Shortened synchronization path for tx_start signal in the eth_sender blocks wzab 4029d 01h /
6 Further adjusted IFG lengths wzab 4029d 07h /
5 Decreased length of the intraframe gaps (IFG)
In 100Mb/s version - to 100bits (25 nibbles)
In 1Gb/s version - to 104bits (13 bytes)
wzab 4029d 22h /
4 Added lacking files PCK_CRC32_D4.vhd and PCK_CRC32_D8.vhd wzab 4056d 06h /
3 Added new version of the FPGA firmware, which does not rely on the Ethernet MAC core.
Now both versions are available.
The version with Ethernet MAC is in directory FPGA_with_MAC.
The version which handles directly Ethernet PHY is in directory FPGA_no_MAC
wzab 4056d 07h /

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.