OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] - Rev 186

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
163 added n_agents_g lanttu 4178d 06h /funbase_ip_library/trunk/TUT
162 hibi_orbus_6p bug fix (added port 4 and port 5) lanttu 4178d 06h /funbase_ip_library/trunk/TUT
161 fixed model name for hibi_udp lanttu 4194d 09h /funbase_ip_library/trunk/TUT
160 fixed a default value bug in udp_ip/1.0/ip-xact/udp_ip_dm9000a.1.0.xml and created top-vhdl to hibi_udp lanttu 4194d 09h /funbase_ip_library/trunk/TUT
159 update hibi_dct component lanttu 4194d 10h /funbase_ip_library/trunk/TUT
158 fixed bugs in hibi_segment_6p lanttu 4194d 10h /funbase_ip_library/trunk/TUT
157 Added memory maps and address spaces to samos_2012 case product lanttu 4198d 05h /funbase_ip_library/trunk/TUT
155 6 port hibi_segment added lanttu 4223d 07h /funbase_ip_library/trunk/TUT
153 hibi_udp component added. compounds (udp_ip_dm9000a and udp2hibi) lanttu 4228d 06h /funbase_ip_library/trunk/TUT
152 lanttu 4228d 06h /funbase_ip_library/trunk/TUT

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.