OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [trunk/] [vhdl/] [reg_bank.vhd] - Rev 397

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
39 Changed name to M-lite to avoid trademark issues. rhoads 8202d 18h /plasma/trunk/vhdl/reg_bank.vhd
24 Disable interrupts upon reset. rhoads 8227d 12h /plasma/trunk/vhdl/reg_bank.vhd
12 Better support for dual-port memories, removed old method rhoads 8233d 12h /plasma/trunk/vhdl/reg_bank.vhd
9 Support for generic_tpram dual-port RAM rhoads 8238d 15h /plasma/trunk/vhdl/reg_bank.vhd
8 Preparing to use dual-port memory for registers. rhoads 8239d 13h /plasma/trunk/vhdl/reg_bank.vhd
6 JAL now correctly sets r31 to instruction AFTER branch delay slot. Fixed interrupts. rhoads 8248d 18h /plasma/trunk/vhdl/reg_bank.vhd
2 MIPS-lite CPU core rhoads 8467d 18h /plasma/trunk/vhdl/reg_bank.vhd

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.