OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpSd/] [unitSdTop/] [src/] [SdTop-Rtl-ea.vhdl] - Rev 187

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
94 CmdTimeout (8 Clocks) added, SdData inserted into top, refs #31 rkastl 4942d 11h /sdhc-sc-core/trunk/grpSd/unitSdTop/src/SdTop-Rtl-ea.vhdl
89 Fixes #27, R3 uses '1111111' as CRC. rkastl 4942d 11h /sdhc-sc-core/trunk/grpSd/unitSdTop/src/SdTop-Rtl-ea.vhdl
88 Timeouts inserted, Sending Card status via Rs232 if changed rkastl 4942d 11h /sdhc-sc-core/trunk/grpSd/unitSdTop/src/SdTop-Rtl-ea.vhdl
75 Transfer to SbX, ref #17 rkastl 4942d 11h /sdhc-sc-core/trunk/grpSd/unitSdTop/src/SdTop-Rtl-ea.vhdl
70 Testbed: Status leds rkastl 4942d 11h /sdhc-sc-core/trunk/grpSd/unitSdTop/src/SdTop-Rtl-ea.vhdl
68 Testbed for SD-CORE, refs #17 rkastl 4942d 11h /sdhc-sc-core/trunk/grpSd/unitSdTop/src/SdTop-Rtl-ea.vhdl
65 SdTop: all sd pins rkastl 4942d 11h /sdhc-sc-core/trunk/grpSd/unitSdTop/src/SdTop-Rtl-ea.vhdl
54 SDController: Sending CMD0, and CMD8 after reset works. refs #15. rkastl 4942d 11h /sdhc-sc-core/trunk/grpSd/unitSdTop/src/SdTop-Rtl-ea.vhdl

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.