OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] - Rev 40

Rev

Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
19 Working on the top wishbone slave testbench.... still need some fixes (Both on the testbench and on the uart_control.vhd) leonardoaraujo.santos 4458d 05h /uart_block/trunk/hdl/iseProject
18 sdsd leonardoaraujo.santos 4458d 12h /uart_block/trunk/hdl/iseProject
17 Working on slave testbench and fixing some bugs leonardoaraujo.santos 4458d 13h /uart_block/trunk/hdl/iseProject
16 Adding testbench for wishbone slave module leonardoaraujo.santos 4458d 13h /uart_block/trunk/hdl/iseProject
15 Taking out some warnings and transparent latches from the design leonardoaraujo.santos 4458d 14h /uart_block/trunk/hdl/iseProject
14 Fixing some warnings... Adding wishbone slave leonardoaraujo.santos 4459d 10h /uart_block/trunk/hdl/iseProject
13 Working on uart_control testbench... also applying some fixes... leonardoaraujo.santos 4459d 11h /uart_block/trunk/hdl/iseProject
12 Working on the communication blocks leonardoaraujo.santos 4459d 12h /uart_block/trunk/hdl/iseProject
11 Adding uart_communication_block leonardoaraujo.santos 4459d 15h /uart_block/trunk/hdl/iseProject
10 Working on the control unit part leonardoaraujo.santos 4459d 18h /uart_block/trunk/hdl/iseProject

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.