OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] - Rev 161

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
161 build D directly in clocked process for synchronous ROM arniml 5913d 07h /
160 remove ROM recognition force arniml 5913d 07h /
159 deal with ROM arniml 5913d 08h /
158 set 7.0 arniml 5913d 09h /
157 sw/verif/system/production_test arniml 5913d 09h /
156 initial check-in arniml 5913d 09h /
155 sw/verif/system/production_test arniml 5914d 07h /
154 initial check-in arniml 5914d 07h /
153 remodel synchronous RAM arniml 6593d 14h /
152 This commit was manufactured by cvs2svn to create tag 'rel_1_0'. 6599d 05h /
151 update arniml 6599d 05h /
150 final updates for release 1.0 arniml 6599d 05h /
149 process t420 tag arniml 6599d 05h /
148 * t410 testbench
* document generic parameters
arniml 6599d 05h /
147 update arniml 6599d 06h /
146 added t410 toplevel plus testbench arniml 6599d 06h /
145 added t410 testbench arniml 6599d 06h /
144 initial check-in arniml 6599d 06h /
143 added t410 arniml 6599d 06h /
142 info for production and t421 testbenches added (including related tags) arniml 6599d 15h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.