OpenCores
URL https://opencores.org/ocsvn/dbg_interface/dbg_interface/trunk

Subversion Repositories dbg_interface

[/] [dbg_interface/] [tags/] [rel_19/] [rtl/] - Rev 127

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
127 This commit was manufactured by cvs2svn to create tag 'rel_19'. 7476d 11h /dbg_interface/tags/rel_19/rtl/
123 All flipflops are reset. mohor 7478d 08h /dbg_interface/tags/rel_19/rtl/
121 Port signals are all set to zero after reset. mohor 7481d 08h /dbg_interface/tags/rel_19/rtl/
119 cpu_stall_o activated as soon as bp occurs. mohor 7481d 11h /dbg_interface/tags/rel_19/rtl/
117 Define name changed. mohor 7483d 07h /dbg_interface/tags/rel_19/rtl/
108 Reset values width added because of FV, a good sentence changed because some tools can not handle it. simons 7484d 14h /dbg_interface/tags/rel_19/rtl/
106 Sensitivity list updated. simons 7485d 12h /dbg_interface/tags/rel_19/rtl/
104 cpu_tall_o is set with cpu_stb_o or register. mohor 7486d 03h /dbg_interface/tags/rel_19/rtl/
102 New version. mohor 7486d 04h /dbg_interface/tags/rel_19/rtl/
101 Almost finished. mohor 7486d 05h /dbg_interface/tags/rel_19/rtl/
100 *** empty log message *** mohor 7487d 07h /dbg_interface/tags/rel_19/rtl/
99 cpu registers added. mohor 7487d 07h /dbg_interface/tags/rel_19/rtl/
97 Working. mohor 7488d 10h /dbg_interface/tags/rel_19/rtl/
95 Temp version. mohor 7488d 23h /dbg_interface/tags/rel_19/rtl/
94 temp version. Resets will be changed in next version. mohor 7489d 09h /dbg_interface/tags/rel_19/rtl/
93 tmp version. mohor 7490d 10h /dbg_interface/tags/rel_19/rtl/
92 temp version. mohor 7493d 14h /dbg_interface/tags/rel_19/rtl/
91 tmp version. mohor 7494d 09h /dbg_interface/tags/rel_19/rtl/
90 tmp version. mohor 7495d 04h /dbg_interface/tags/rel_19/rtl/
89 temp4 version. mohor 7496d 10h /dbg_interface/tags/rel_19/rtl/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.