OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [trunk/] - Rev 723

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
723 Added configuration for socket interface and IRQ level. ivang 8167d 07h /or1k/trunk/
722 floating point registers are obsolete; GPRs should be used instead markom 8167d 09h /or1k/trunk/
721 configure files updated markom 8167d 12h /or1k/trunk/
720 single floating point support added markom 8167d 13h /or1k/trunk/
719 config.h.in updated markom 8167d 13h /or1k/trunk/
718 Memory size extended. Tested with nano-X. simons 8167d 14h /or1k/trunk/
717 some minor improvements markom 8167d 14h /or1k/trunk/
716 Tested on uClinux. simons 8168d 03h /or1k/trunk/
715 dhrystones strcmp repaired markom 8168d 13h /or1k/trunk/
714 do_stats introduced for faster no-stats execution markom 8169d 10h /or1k/trunk/
713 lot of small minor improvements: code documented, cleaned; runs at about same speed when not actually logging, but exe_log is enabled; raw_stats now run only with simple execution - enable RAW_USAGE_STATS macro markom 8169d 13h /or1k/trunk/
712 eval_operand and set_operand functions are being generated markom 8172d 10h /or1k/trunk/
711 Ram size exteneded to 4Mb. simons 8172d 13h /or1k/trunk/
710 _print removed. simons 8172d 13h /or1k/trunk/
709 eval_operands is now being generated markom 8172d 15h /or1k/trunk/
708 Sash can be now built with or32-uclibc tool chain. simons 8173d 02h /or1k/trunk/
707 _start label is now the entry point. simons 8173d 02h /or1k/trunk/
706 insn_decode execution part replaced by generated function decode_execute; use --enable-simple to use runtime decoding markom 8173d 08h /or1k/trunk/
705 Updated changed registers. ivang 8174d 09h /or1k/trunk/
704 exe_logs now print also l.nop 3 printfs markom 8174d 10h /or1k/trunk/

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.