OpenCores
URL https://opencores.org/ocsvn/spdif_interface/spdif_interface/trunk

Subversion Repositories spdif_interface

[/] - Rev 60

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
40 Improved test bench. gedra 7284d 19h /
39 Bug-fix. gedra 7284d 19h /
38 Signal renaming and bug fix. gedra 7298d 19h /
37 Converted to numeric_std and fixed a few bugs. gedra 7299d 21h /
36 Top level entity for receiver. gedra 7299d 21h /
35 Top level test bench for receiver. NB! Not complete. gedra 7299d 21h /
34 Converter to numeric_std and added hex functions gedra 7299d 21h /
33 Minor update. gedra 7299d 21h /
32 Wishbone bus utilities. gedra 7301d 16h /
31 Added data output. gedra 7301d 16h /

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.