OpenCores
URL https://opencores.org/ocsvn/spdif_interface/spdif_interface/trunk

Subversion Repositories spdif_interface

[/] - Rev 73

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
53 Fixed bug. gedra 7260d 13h /
52 Changed address of channel status buffers. gedra 7260d 13h /
51 Changed two interrupts in the transmitter. gedra 7261d 11h /
50 Added string type casting to make ModelSim happy. gedra 7262d 12h /
49 Changed write signal for status buffers. gedra 7263d 12h /
48 Added new components. gedra 7263d 12h /
47 Transmitter channel status buffer. gedra 7263d 12h /
46 Transmitter version register. gedra 7263d 13h /
45 Transmitter component declarations. gedra 7264d 12h /
44 Transmitter Wishbone bus cycle decoder. gedra 7264d 12h /

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.