OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] - Rev 131

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
111 split 4k internal ROM into
+ 2k internal ROM
+ 2k external ROM
EA of t48_core is driven by MSB of internal ROM address
if upper 2k block is selected, the system switches to EA mode on the fly
arniml 7392d 09h /
110 exchange syn_rom for lpm_rom arniml 7392d 09h /
109 add new bug for release 0.1 BETA arniml 7392d 22h /
108 Fix for:
External Program Memory ignored when EA = 0
arniml 7392d 22h /
107 tie EA to '1' arniml 7392d 23h /
106 clean-up use of ea_i arniml 7392d 23h /
105 initial check-in
describe bugs of release 0.1 BETA
arniml 7395d 08h /
104 add white_box directory to test suite arniml 7396d 06h /
103 add testbench peripherals for P1 and P2
this became necessary to observe a difference between externally applied
port data and internally applied port data
arniml 7396d 06h /
102 update for changes in address space of external memory arniml 7396d 06h /

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.