OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [verilog/] - Rev 63

Rev

Filtering Options

Clear current filter

Rev Log message Author Age Path
9 - added sample FP unit robfinch 2744d 16h /ft816float/trunk/rtl/verilog/
8 Updated better support for 80 bit / 128 bit ops robfinch 2744d 16h /ft816float/trunk/rtl/verilog/
7 adding missing reduction or function robfinch 2896d 02h /ft816float/trunk/rtl/verilog/
6 added more fp ops robfinch 3002d 08h /ft816float/trunk/rtl/verilog/
5 added floattoint inttofloat robfinch 3004d 00h /ft816float/trunk/rtl/verilog/
3 FT816Float - initial zrchive robfinch 3475d 09h /ft816float/trunk/rtl/verilog/

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.