OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [verilog/] - Rev 88

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
9 - added sample FP unit robfinch 2756d 06h /ft816float/trunk/rtl/verilog/
8 Updated better support for 80 bit / 128 bit ops robfinch 2756d 06h /ft816float/trunk/rtl/verilog/
7 adding missing reduction or function robfinch 2907d 16h /ft816float/trunk/rtl/verilog/
6 added more fp ops robfinch 3013d 22h /ft816float/trunk/rtl/verilog/
5 added floattoint inttofloat robfinch 3015d 14h /ft816float/trunk/rtl/verilog/
3 FT816Float - initial zrchive robfinch 3486d 23h /ft816float/trunk/rtl/verilog/

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.