OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] - Rev 183

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
161 fixed model name for hibi_udp lanttu 4135d 11h /funbase_ip_library/trunk/TUT/
160 fixed a default value bug in udp_ip/1.0/ip-xact/udp_ip_dm9000a.1.0.xml and created top-vhdl to hibi_udp lanttu 4135d 11h /funbase_ip_library/trunk/TUT/
159 update hibi_dct component lanttu 4135d 11h /funbase_ip_library/trunk/TUT/
158 fixed bugs in hibi_segment_6p lanttu 4135d 11h /funbase_ip_library/trunk/TUT/
157 Added memory maps and address spaces to samos_2012 case product lanttu 4139d 07h /funbase_ip_library/trunk/TUT/
155 6 port hibi_segment added lanttu 4164d 09h /funbase_ip_library/trunk/TUT/
153 hibi_udp component added. compounds (udp_ip_dm9000a and udp2hibi) lanttu 4169d 08h /funbase_ip_library/trunk/TUT/
152 lanttu 4169d 08h /funbase_ip_library/trunk/TUT/
151 - Basic tester example fixed.
- Added default values to HIBI segment
lanttu 4216d 05h /funbase_ip_library/trunk/TUT/
150 lanttu 4216d 07h /funbase_ip_library/trunk/TUT/

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.