OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

[/] [ion/] [trunk/] [vhdl/] [demo/] - Rev 235

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
75 Added support for 8-bit-wide static memory (e.g. Flash)
Updated demo 'top' file to use the DE-1 onboard flash
ja_rd 4889d 07h /ion/trunk/vhdl/demo/
68 Updated pre-generated vhdl files ja_rd 4889d 23h /ion/trunk/vhdl/demo/
63 DE-1 demo top module:
added registers for SD interface, switches and 7-seg display
ja_rd 4889d 23h /ion/trunk/vhdl/demo/
59 cleaned up top vhdl module of demo
moved reset sync ff chain to top module
updated pre-generated demo file
ja_rd 4891d 13h /ion/trunk/vhdl/demo/
57 updated precompiled demo:
single 32-bit BROM instead of 4x8-bit
ja_rd 4892d 01h /ion/trunk/vhdl/demo/
46 First version of cache: stub, 1-word cache
Stub cache tested on simulation and HW, just a stub
Adapted CPU stall logic to 1st version of cache
Adapted all other modules for compatibility with cache
ja_rd 4892d 05h /ion/trunk/vhdl/demo/
40 pre-generated 'hello' demo updated ja_rd 4896d 08h /ion/trunk/vhdl/demo/
2 First commit (includes 'hello' demo) ja_rd 4901d 12h /ion/trunk/vhdl/demo/

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.