OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [vhdl/] - Rev 286

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
186 Change memory_type to "XILINX_16X" rhoads 6378d 22h /mlite/trunk/vhdl/
185 Latest opcodes from count.c rhoads 6394d 01h /mlite/trunk/vhdl/
184 Fix comment rhoads 6394d 01h /mlite/trunk/vhdl/
181 Fix typo in comment rhoads 6394d 02h /mlite/trunk/vhdl/
180 Easily permit full UART simulation rhoads 6394d 02h /mlite/trunk/vhdl/
139 Major changes -- updated to Plasma Version 3 rhoads 6707d 22h /mlite/trunk/vhdl/
132 Changed "GENERIC" string to "DEFAULT" to be Xilinx friendly. rhoads 7187d 20h /mlite/trunk/vhdl/
131 Changed "GENERIC" to "DEFAULT" to be Xilinx friendly. rhoads 7187d 20h /mlite/trunk/vhdl/
129 Added reset_in to sensitivity list rhoads 7206d 20h /mlite/trunk/vhdl/
128 Reset all registers, constants now upper case. rhoads 7325d 07h /mlite/trunk/vhdl/

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.