OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] - Rev 54

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
34 name changes budinero 5455d 15h /modular_oscilloscope/trunk/
33 new control modules budinero 5455d 15h /modular_oscilloscope/trunk/
32 new control modules budinero 5455d 15h /modular_oscilloscope/trunk/
31 Added adc_clk and read flags. budinero 5455d 15h /modular_oscilloscope/trunk/
30 name changes budinero 5477d 00h /modular_oscilloscope/trunk/
29 added dual port memory budinero 5477d 00h /modular_oscilloscope/trunk/
28 budinero 5477d 00h /modular_oscilloscope/trunk/
27 budinero 5477d 00h /modular_oscilloscope/trunk/
26 budinero 5477d 00h /modular_oscilloscope/trunk/
25 budinero 5477d 01h /modular_oscilloscope/trunk/

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.