OpenCores
URL https://opencores.org/ocsvn/openarty/openarty/trunk

Subversion Repositories openarty

[/] [openarty/] - Rev 36

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
16 This returns the scope to its original configuration, where the decode()
function is not allowed to have any side effects.
dgisselq 2855d 17h /openarty/
15 These files were changed/perfected as part of getting the EQSPI flash driver
up and running. They currently match the hardware, as far as I can tell, and
the test bench (_tb.cpp file) shows that the Verilog modules work (with the
simulated hardware) as designed.
dgisselq 2855d 17h /openarty/
14 All changes made as a part of getting the flash driver up and running. Today,
it successfully programmed a configuration into the Arty via wbprogram, so ...
that's been my last/best test.
dgisselq 2855d 17h /openarty/
13 Here are the updates necessary to get the initial Quad SPI flash driver working.
This includes in particular the bus interconnect (in fastmaster.v), and the
final hookup to the external wires (in fasttop.v).
dgisselq 2855d 18h /openarty/
12 A work in progress. This checkin contains fixes for the flash (mostly),
together with some logic reductions facilitating full speed (200MHz) build.
dgisselq 2856d 20h /openarty/
11 Files necessary to simulate the entire Arty board--everything at the fastmaster
module and below.
dgisselq 2856d 20h /openarty/
10 Updated flash data and test files, for a flash that produces it's answers a
clock after I'm expecting them.
dgisselq 2856d 20h /openarty/
9 Adding copywrite statement (oops). dgisselq 2856d 20h /openarty/
8 Fixes the makefile so it builds a complete testbench suite, emulating the
entire Arty board.
dgisselq 2856d 20h /openarty/
7 This implements the coordination of a massive build/test suite--just not the
actual configuration file itself.
dgisselq 2856d 20h /openarty/

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.