OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] - Rev 197

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
177 Interruptions separated in diferent output ports, so we can assign them as interruptions senders.... each one of them..... jguarin2002 4502d 13h /raytrac/
176 Little changes on full result queue signals codification in order to fix a potential bug that havent beed detected at the time of the change in the code jguarin2002 4514d 11h /raytrac/
175 Fixed a problem on the writing signal of results queue 5,6 and 7. The error was detected just right when a calculated normalized vector was about to be written in the results queues 5 6 and 7 and the write signals of those were not activated (it would remain in 0), after checking what was the problem, a codification bug was spotted. jguarin2002 4514d 12h /raytrac/
174 Comment tweaking... its the same RTL anyway jguarin2002 4514d 12h /raytrac/
173 Added a procedure to support vectorblock03 type variables rendering after testbench execution jguarin2002 4514d 12h /raytrac/
172 Results fifo writing signals added to the testbench jguarin2002 4514d 12h /raytrac/
171 After some raytrac simulation result analysis, some bugs were detected on the decodification of several datapaths. Corrections were done and tested jguarin2002 4514d 12h /raytrac/
170 Slim, suited to fit, elegant and small, optimized and well designed single precision floating point I3E754 32 bit adder jguarin2002 4514d 12h /raytrac/
169 Long Stupid, version of a 32 bit floating point I3E754 Adder jguarin2002 4514d 12h /raytrac/
168 Added a display function for vectorblock02 jguarin2002 4517d 02h /raytrac/

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.