OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [src/] [grpSd/] [pkgSd/] [src/] - Rev 164

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
88 Timeouts inserted, Sending Card status via Rs232 if changed rkastl 4959d 04h /sdhc-sc-core/trunk/src/grpSd/pkgSd/src/
83 SdCmd: Refactored rkastl 4959d 04h /sdhc-sc-core/trunk/src/grpSd/pkgSd/src/
75 Transfer to SbX, ref #17 rkastl 4959d 04h /sdhc-sc-core/trunk/src/grpSd/pkgSd/src/
63 SdController: basic init complete rkastl 4959d 04h /sdhc-sc-core/trunk/src/grpSd/pkgSd/src/
62 R2 implemented in complete stack, refs #15. rkastl 4959d 04h /sdhc-sc-core/trunk/src/grpSd/pkgSd/src/
60 Receiving a response to ACMD41 works (including busy, but voltage is not
checked), refs #15.
rkastl 4959d 04h /sdhc-sc-core/trunk/src/grpSd/pkgSd/src/
57 SdController: Sending ACMD41, refs #15 rkastl 4959d 04h /sdhc-sc-core/trunk/src/grpSd/pkgSd/src/
56 SdCmd: Receiving generic response works rkastl 4959d 04h /sdhc-sc-core/trunk/src/grpSd/pkgSd/src/
54 SDController: Sending CMD0, and CMD8 after reset works. refs #15. rkastl 4959d 04h /sdhc-sc-core/trunk/src/grpSd/pkgSd/src/
49 SdCmd: Send renamed to Valid rkastl 4959d 04h /sdhc-sc-core/trunk/src/grpSd/pkgSd/src/

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.