OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [src/] [grpSd/] [unitSdData/] - Rev 175

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
103 SdController: Checking speed works rkastl 4923d 21h /sdhc-sc-core/trunk/src/grpSd/unitSdData/
101 Receiving response to ACMD51 works including data, refs #33. rkastl 4923d 21h /sdhc-sc-core/trunk/src/grpSd/unitSdData/
100 SdController: Receiving data after ACMD51, but CRC is wrong rkastl 4923d 21h /sdhc-sc-core/trunk/src/grpSd/unitSdData/
95 SdController: entity and architecture split, all outputs registered
SdCardModel: Delay between response and next command added
SdData: Busy checking

refs #33
rkastl 4923d 21h /sdhc-sc-core/trunk/src/grpSd/unitSdData/
93 Don´t run a full synthesis for SdData alone. It won´t fit. rkastl 4923d 21h /sdhc-sc-core/trunk/src/grpSd/unitSdData/
92 SdData: Sending in standard and wide mode (incl. simple not automated
testbench and synthesis), refs #31.
rkastl 4923d 21h /sdhc-sc-core/trunk/src/grpSd/unitSdData/

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.