OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [src/] [grpSd/] [unitSdTop/] - Rev 183

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
89 Fixes #27, R3 uses '1111111' as CRC. rkastl 4928d 16h /sdhc-sc-core/trunk/src/grpSd/unitSdTop/
88 Timeouts inserted, Sending Card status via Rs232 if changed rkastl 4928d 16h /sdhc-sc-core/trunk/src/grpSd/unitSdTop/
75 Transfer to SbX, ref #17 rkastl 4928d 16h /sdhc-sc-core/trunk/src/grpSd/unitSdTop/
70 Testbed: Status leds rkastl 4928d 16h /sdhc-sc-core/trunk/src/grpSd/unitSdTop/
68 Testbed for SD-CORE, refs #17 rkastl 4928d 16h /sdhc-sc-core/trunk/src/grpSd/unitSdTop/
66 SdTop: Synthesis works rkastl 4928d 16h /sdhc-sc-core/trunk/src/grpSd/unitSdTop/
65 SdTop: all sd pins rkastl 4928d 16h /sdhc-sc-core/trunk/src/grpSd/unitSdTop/
54 SDController: Sending CMD0, and CMD8 after reset works. refs #15. rkastl 4928d 16h /sdhc-sc-core/trunk/src/grpSd/unitSdTop/

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.