OpenCores
URL https://opencores.org/ocsvn/zpu/zpu/trunk

Subversion Repositories zpu

[/] [zpu/] [trunk/] - Rev 69

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
49 added link. oharboe 5777d 12h /zpu/trunk/
48 add missing defs. oharboe 5778d 11h /zpu/trunk/
47 added basic docs on emulated instructions. oharboe 5778d 13h /zpu/trunk/
46 * do not enable interrupts for simzpu_small.do. hello world
does not have an interrupt handler, so this caused a BREAK
instruction to be executed.
oharboe 5821d 18h /zpu/trunk/
45 * zpu_config.vhd: Fixed startSp calculation (address in bytes not words) oharboe 5828d 05h /zpu/trunk/
44 Miguel Freitas <mfreitas@gmail.com>
log.txt and trace.txt currently on cvs were produced by interrupt.vhd.
this patch will build example_ghdl with interrupt.vhd by default so
user can compare results. adds a note about what user needs to edit to
simulate helloworld.vhd without interrupts.
oharboe 5828d 06h /zpu/trunk/
43 take 2 oharboe 5828d 07h /zpu/trunk/
42 I'm also attaching another patch which removes unisim/roc dependency
(it was used just to pulse the areset) and fixes paths for building
the ghdl examples out of the box. I guess this is the easiest way to
get zpu running on linux with minimum effort.

You should check if the areset change doesn't break modelsim. It feels
much simpler this way and seems to work the same, i might be missing
something.
oharboe 5828d 07h /zpu/trunk/
41 * Various ZY2000 vhdl files of more general interest made
available as part of the ZPU project under the same
license(FreeBSD). Files should have headers updated.
oharboe 5828d 15h /zpu/trunk/
40 * io.vhd: fix address comparsion and added numerous outputs
during simulation to make things a bit easier
* zpu_config.vhd: do not use hardcoded startSp, allows more easily
tinkering w/RAM size
oharboe 5829d 02h /zpu/trunk/

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.