OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] - Rev 77

Rev

Go to most recent revision

Filtering Options

Clear current filter

Rev Log message Author Age Path
57 new fifo design, is now generic (verified with altera and xilinx) and uses block ram JonasDC 4126d 10h /
56 this is a branch to test performance of a new style of ram JonasDC 4126d 13h /
55 updated resource usage in comments JonasDC 4127d 09h /
54 generic fifo design: correctrly inferred by xilinx and altera JonasDC 4127d 10h /
53 correctly inferred ram for altera dual port ram JonasDC 4127d 16h /
52 correct inferring of blockram, no additional resources. JonasDC 4127d 17h /
51 true dual port ram for xilinx JonasDC 4127d 17h /
50 added folder for ram descriptions
added experimental simple dual port ram implementation for xilinx
JonasDC 4127d 17h /
49 First full stable version with documentation.
Includes flexible pipeline design, PLB interface and the RAM and FIFO is still using xilinx primitives.
JonasDC 4139d 12h /
48 Tag of the starting version of the project JonasDC 4139d 13h /

< Prev 1 2

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.