OpenCores
URL https://opencores.org/ocsvn/csa/csa/trunk

Subversion Repositories csa

[/] [csa/] [trunk/] [rtl/] - Rev 49

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
49 group_decrypt module simulate success simon111 5538d 04h /csa/trunk/rtl
48 improve key_schedule module simon111 5543d 04h /csa/trunk/rtl
46 delete key_comupter module and testbench simon111 5543d 14h /csa/trunk/rtl
45 improve makefile simon111 5545d 09h /csa/trunk/rtl
44 improve some module , strip warnings simon111 5547d 04h /csa/trunk/rtl
43 improve group_decrypt module simon111 5547d 06h /csa/trunk/rtl
42 add group_decrypt module simon111 5547d 12h /csa/trunk/rtl
41 add three moudule ts_serial_out ts_sync key_cnt simon111 5548d 01h /csa/trunk/rtl
40 add timescale.v file and fix a bug in key_schedule module simon111 5548d 05h /csa/trunk/rtl
24 New directory structure. root 5587d 21h /csa/trunk/rtl
21 decrypt module passed basicly, it's not good code type simon111 5711d 04h /trunk/rtl
20 finished the stream_cypher module, this module passed modelsim , but doesn't pass veriwell, i don't know why simon111 5725d 03h /trunk/rtl
18 try to add decrypt module (not finished yet) simon111 5735d 04h /trunk/rtl
17 finish block_decypher module simon111 5786d 10h /trunk/rtl
16 add the block_perm and block_sbox simon111 5790d 02h /trunk/rtl
15 finished key_schedule module simon111 5794d 04h /trunk/rtl
13 add key_schedule module(note: this module is not right yet) simon111 5795d 04h /trunk/rtl
11 add clean rule for some makefile simon111 5828d 05h /trunk/rtl
8 initial version simon111 5828d 06h /trunk/rtl
7 fix the key_perm module simon111 5828d 06h /trunk/rtl

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.