OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [tags/] [rel_15] - Rev 183

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
183 Modelsim environment added. mohor 8002d 11h /ethmac/tags/rel_15
182 Full duplex test improved. tadej 8003d 11h /ethmac/tags/rel_15
181 MIIM test look better. mohor 8003d 14h /ethmac/tags/rel_15
180 Bench outputs data to display every 128 bytes. mohor 8006d 10h /ethmac/tags/rel_15
179 Beautiful tests merget together mohor 8006d 10h /ethmac/tags/rel_15
178 Rearanged testcases mohor 8006d 10h /ethmac/tags/rel_15
177 Bug in MIIM fixed. mohor 8006d 14h /ethmac/tags/rel_15
176 lists changed to new directory structure mohor 8006d 16h /ethmac/tags/rel_15
175 Script fixed to new dir structure mohor 8006d 16h /ethmac/tags/rel_15
174 Directory keeper mohor 8006d 16h /ethmac/tags/rel_15
173 Keeps the directory mohor 8006d 16h /ethmac/tags/rel_15
172 NCSIM simulation environment added to cvs mohor 8006d 16h /ethmac/tags/rel_15
171 NCSIM simulation environment added. mohor 8006d 16h /ethmac/tags/rel_15
170 Headers changed. mohor 8006d 17h /ethmac/tags/rel_15
169 New testbench. Thanks to Tadej M - "The Spammer". mohor 8006d 17h /ethmac/tags/rel_15
168 CarrierSenseLost bug fixed when operating in full duplex mode. mohor 8007d 14h /ethmac/tags/rel_15
167 Sometimes both RxB_IRQ and RxE_IRQ were activated. Bug fixed. mohor 8008d 15h /ethmac/tags/rel_15
166 Reception is possible after RxPointer is read and not after BD is read. For
that reason RxBDReady is changed to RxReady.
Busy_IRQ interrupt connected. When there is no RxBD ready and frame
comes, interrupt is generated.
mohor 8009d 15h /ethmac/tags/rel_15
165 HASH improvement needed. mohor 8009d 18h /ethmac/tags/rel_15
164 Ethernet debug registers removed. mohor 8009d 19h /ethmac/tags/rel_15

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.