OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [nog_patch_67] - Rev 820

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
820 self check added markom 8126d 11h /or1k/tags/nog_patch_67
819 Physical address bug fixed. simons 8129d 06h /or1k/tags/nog_patch_67
818 crc added markom 8129d 07h /or1k/tags/nog_patch_67
817 Code cleaned. simons 8129d 10h /or1k/tags/nog_patch_67
816 memory/flash copy/boot; default src_addr; dhry added; memmove added; tftp.c moved to load.c markom 8129d 11h /or1k/tags/nog_patch_67
815 Elf support added. simons 8130d 00h /or1k/tags/nog_patch_67
814 flash driver added markom 8130d 07h /or1k/tags/nog_patch_67
813 elf support added markom 8130d 08h /or1k/tags/nog_patch_67
812 new number parsing, ip parsing markom 8130d 08h /or1k/tags/nog_patch_67
810 This commit was generated by cvs2svn to compensate for changes in r809,
which included commits to RCS files with non-trunk default branches.
simons 8130d 10h /or1k/tags/nog_patch_67
808 Elf support added. simons 8130d 11h /or1k/tags/nog_patch_67
807 sched files moved to support dir markom 8131d 13h /or1k/tags/nog_patch_67
806 uart now partially uses scheduler markom 8131d 14h /or1k/tags/nog_patch_67
805 kbd, fb, vga devices now uses scheduler markom 8131d 14h /or1k/tags/nog_patch_67
804 memory regions can now overlap with MC -- not according to MC spec markom 8132d 08h /or1k/tags/nog_patch_67
803 Free irq handler fixed. simons 8135d 01h /or1k/tags/nog_patch_67
802 Cache and tick timer tests fixed. simons 8136d 12h /or1k/tags/nog_patch_67
801 l.muli instruction added markom 8138d 08h /or1k/tags/nog_patch_67
800 Bug fixed. simons 8139d 06h /or1k/tags/nog_patch_67
799 Wrapping around 512k boundary to simulate real hw. simons 8142d 23h /or1k/tags/nog_patch_67

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.