OpenCores
URL https://opencores.org/ocsvn/versatile_mem_ctrl/versatile_mem_ctrl/trunk

Subversion Repositories versatile_mem_ctrl

[/] [versatile_mem_ctrl/] [trunk/] - Rev 36

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
36 unneback 5285d 01h /versatile_mem_ctrl/trunk
35 work for limited test case unneback 5285d 08h /versatile_mem_ctrl/trunk
34 added unneback 5285d 08h /versatile_mem_ctrl/trunk
33 work for limited test case, no cke inhibit for fifo empty unneback 5285d 11h /versatile_mem_ctrl/trunk
32 Updated the testbench to match the new wishbone interface. mikaeljf 5288d 15h /versatile_mem_ctrl/trunk
31 Added Xilinx primitive for dff_sr.v module, updated rtl-Makefile adn simulation scripts. mikaeljf 5290d 08h /versatile_mem_ctrl/trunk
30 Added Xilinx primitive for dff_sr.v module, updated rtl-Makefile adn simulation scripts. mikaeljf 5290d 08h /versatile_mem_ctrl/trunk
29 Adapted the test bench to the new wishbone interface. mikaeljf 5294d 08h /versatile_mem_ctrl/trunk
28 Fixed typos and updated the rtl Makefile and Altera-Modelsim script. Modified the counter-excel file and added missing module 'dff_sr.v'. mikaeljf 5294d 10h /versatile_mem_ctrl/trunk
27 unneback 5298d 01h /versatile_mem_ctrl/trunk
26 compiles OK, not simulated unneback 5300d 00h /versatile_mem_ctrl/trunk
25 unneback 5300d 03h /versatile_mem_ctrl/trunk
24 Updated the memory controller according to recent update of Versatile_counter. Modified the rtl Makefile and added an excel file with counter definitions. mikaeljf 5300d 14h /versatile_mem_ctrl/trunk
23 Removed redundant code. mikaeljf 5308d 07h /versatile_mem_ctrl/trunk
22 Updated the Altera timing constraints file, also minor updates of defines file and Makefile. mikaeljf 5310d 03h /versatile_mem_ctrl/trunk
21 Updated the Altera timing constraints file (.sdc). mikaeljf 5314d 06h /versatile_mem_ctrl/trunk
20 Minor update of sdc-file. mikaeljf 5316d 08h /versatile_mem_ctrl/trunk
19 Added do-file for Modelsim waveform viewer. mikaeljf 5322d 12h /versatile_mem_ctrl/trunk
18 Updated the rtl/verilog Makefile and the bench Makefile. mikaeljf 5323d 09h /versatile_mem_ctrl/trunk
17 Modified rtl Makefile and tb_defines.v mikaeljf 5326d 08h /versatile_mem_ctrl/trunk

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.