OpenCores
URL https://opencores.org/ocsvn/spi_slave/spi_slave/trunk

Subversion Repositories spi_slave

[/] - Rev 28

Rev

Go to most recent revision | Details | Compare with Previous | Blame

Filtering Options

Clear current filter

Rev Log message Author Age Path
28 Changed TX CRC-Insertion dkoethe 5966d 20h /
27 added test for CRC dkoethe 6018d 19h /
26 Initial Release dkoethe 6018d 19h /
25 added
> vcom -93 ../../../../../rtl/vhdl/PCK_CRC8_D8.vhd
> vcom -93 ../../../../../rtl/vhdl/PCK_CRC32_D32.vhd
> vcom -93 ../../../../../rtl/vhdl/crc_gen.vhd
> vcom -93 ../../../../../rtl/vhdl/crc_core.vhd
dkoethe 6018d 19h /
24 added Register C_ADR_RX_CRC. C_ADR_TX_CRC dkoethe 6018d 19h /
23 added logic for CRC-Generation dkoethe 6018d 19h /
22 added signal opb_m_last_block for CRC dkoethe 6018d 19h /
21 added constant C_ADR_RX_CRC,C_ADR_TX_CRC,
added constant C_OPB_CTL_REG_CRC_EN, C_OPB_CTL_REG_CRC_CLR
dkoethe 6018d 19h /
20 Initial Release dkoethe 6018d 19h /
19 Version 1.2 removed delays for simulation dkoethe 6123d 18h /
18 Version 1.1
Bugfix
added syncronisation registers opb_fifo_flg_int_r[0,1] to prevent metastability
dkoethe 6123d 18h /
17 no message dkoethe 6130d 15h /
16 no message dkoethe 6130d 18h /
15 increased C_NUM_FLG to 16, C_NUM_INT to 11 for opb_abort_flg dkoethe 6130d 18h /
14 - Added opb_abort_flg to component an Instance opb_m_if
- opb_irq_flg(10) <= opb_abort_flg;
- opb_fifo_flg(15 <= opb_fifo_flg;
dkoethe 6130d 18h /
13 Added opb_abort_flg to indicate a transfer failure dkoethe 6130d 18h /
12 Initial Release dkoethe 6133d 18h /
11 Changed Width of TX_DMA_NUM/RX_DMA_NUM from 16 to 24Bit to increase
maximal DMA-Transfer Size from 1 MByte to 256MByte
dkoethe 6135d 16h /
10 Changed opb_tx_dma_num, opb_rx_dma_num from fixed width of 16 to constant
C_WIDTH_DMA_NUM in opb_spi_slave_pack.vhd. Default Value: 24
dkoethe 6135d 17h /
9 Initial Release vhdldoc-Documentation dkoethe 6141d 16h /

1 2 Next >

Show All

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.